2000字范文,分享全网优秀范文,学习好帮手!
2000字范文 > 如何用工具自动修复数字IC后端设计实现绕线后的Physical DRC_

如何用工具自动修复数字IC后端设计实现绕线后的Physical DRC_

时间:2018-07-07 08:35:32

相关推荐

如何用工具自动修复数字IC后端设计实现绕线后的Physical DRC_

如何用工具自动修复数字IC后端设计实现绕线后的Physical DRC?

文章右侧广告为官方硬广告,与吾爱IC社区无关,用户勿点。点击进去后出现任何损失与社区无关。

吾爱 IC 社区的网站经过一个月的运营管理,目前每天的自然流量稳定在 180IP 左右,各项指标也呈现上升趋势。小编对网站有个小小的期许,希望未来能够实现数字 IC 后端领域相关关键词霸屏的目的,能够帮助更多工程师通过搜索引擎,快速解决工作上的问题,提升自身 工作技能。

而你们能做出怎样呀的贡献呢?小编建议方便的朋友,可以进行每日一搜 “吾爱 IC”,即每天在搜索引擎上搜索 “吾爱 IC” 访问网站即可。对,就这么简单(网站目前有挂 google 的广告,看看能不能赚到服务器的费用,希望不会影响到各位的用户体验)。

今天吾爱 IC 社区小编将借粉丝提问的一个问题,做一个数字 IC 后端设计实现中非常常见的一个 topic——绕线后如何利用工具自动解 DRC?

问题原文阐述:

ICC 布完线后,timing clean,就是在 error browser 下看到 detail route 过程中大概有好几十个 DRC 集中在一起,不是假错,如何借助 ICC 将这部分 DRC 修掉,timing 好不容易才 clean,希望尽可能的在 route 之后修掉,不改动 floorplan 情况下,有哪些办法?

小编看到这个问题,第一反应就是这位工程师工作经验应该是低于两年的朋友。对于他所提出来的问题,其实压根就不是问题。如果是我看到这样的现象,高兴还来不及呢。理论上 ICC 布线后,如果 physical DRC 是 clean 的,很有可能是下面这几种情况:

Design 比较小,timing 和 routing 都很容易 meet

模块实现面积稍大或者过大,即利用率不够高

ICC 中绕线后的 DRC 类型,一般常见的有 short,diff net space,same net spacin,end of line spacing,antenna violations,odd cycle pattern(先进工艺 double pattern 相关 DRC)等。在 ICC/ICC2 中绕线后,我们首先要看整体的DRC 违例数量,定位数量级,然后再看所有DRC 有哪些类型,以及各种 DRC 错误的数量,最后分析为何有这样的 Physical DRC,并提出解决方案。

ICC/ICC2 绕线后存在几十个 DRC

这种情况可以直接拿 ICC/ICC2 的 database 进行 RC extraction,生成对应的 spef,在 prime time 中进行 timing signoff。那这些 DRC 要怎么去修呢?显然不需要人工去修。能让工具自己做的,坚决不要自己去修复(吾爱 IC 社区的小编可以很负责任地告诉你,历年项目的 DRC 几乎没有手修过)。解决方法有以下两种:

增加 route iteration 次数(这个次数设定比较有讲究的),进行 DRC auto fixing

ICC/ICC2 在有 DRC 的地方添加 routing guide,让工具自己 fix。

ICC/ICC2 绕线后存在几百个 DRC

这里所指的几百个是指都是真实的 DRC,甚至当你的 design 绕线后存在上百个 short。此时需要先解这类 DRC,或者一边解 DRC 一边修 timing。碰到这种情况千万淡定,认真分析原因。

如果是项目前期碰到这种情况,可以分析是否能通过改 floorplan 或者调整 placement 策略来改善解决。

如果是项目后期不允许你做 floorplan 改变甚至不允许你重新 run flow,那么怎么办呢?其实也简单,小编在知识星球推荐过一个修复 short 的通用脚本。原理就是将存在 short 的 net 全部抓出来,删掉这样的 net shape,重新进行 eco route。如果仍然不会写,可以前往星球查看。

ICC/ICC2 绕线后存在几千甚至上万的 DRC

这种情况留给各位自己思考。

小编知识星球简介:

在这里,目前已经规划并正着手做的事情:

ICC/ICC2 lab 的编写

基于 ARM CPU 的后端实现流程(已经发布)

利用 ICC 中 CCD(Concurrent Clock Data)实现高性能模块的设计实现(已经发布)

基于 ARM 四核 CPU 数字后端 Hierarchical Flow 实现教程(准备中)

时钟树结构分析

低功耗设计实现

定期在星球布置作业题(星球已经支持布置作业功能)

在这里,各位可以就公众号推文的内容或者实际项目中遇到的难题提问,小编会在 24 小时内给予解答(也可以发表你对数字后端设计实现中某个知识点的看法,项目中遇到的难点,困惑或者职业发展规划等)。

反正它是一个缩减版的论坛,增强了大家的互动性。更为重要的是,微信有知识星球的小程序入口。星球二维码如下,可以扫描或者长按识别二维码进入。目前已经有七十七位 **** 星球成员,感谢这七十七位童鞋的支持!欢迎各位铁杆粉丝加入!终极目标是**打造实现本知识星球全员年薪百万的宏伟目标 **。(星球的门槛将会越来越高,有需求的朋友趁早上车)

往期文章推荐

数字 IC 设计后端实现前期预防 IR Drop 的方法汇总

数字 IC 后端设计实现 floorplan 及 powerplan 规划

数字 IC 后端设计实现之时钟树综合答疑篇

数字 IC 后端设计实现流程之 initial design

年数字 IC 校招薪酬火爆出炉,你被倒挂了吗?

温度反转效应(文末附 数字 IC 后端最新校招笔试题目)

我是如何在五年内实现年薪 60 万?

教你彻底搞懂 ARM Cortex-A75 CPU 的数字后端实现报告

数字后端设计实现中 route 阶段的那些事

低功耗设计实现中 secondary power pin 的连接方法汇总

数字后端面试问答 No.22-24(每日三问)

免费领取数字 IC 后端实现培训教程,再赠送一箱水蜜桃!

项目后期踩到这些坑,原来可以这么简单处理!(数字后端实现救火篇)

想要彻底掌握 placement 各种技巧,这个一定可以如你所愿!

IC 自媒体访谈:吾爱 IC 社区

教你轻松玩转天线效应 (Process Antenna Effect)

深度揭秘异步复位同步释放原理

数字后端面试问答 No.19-21(每日三问)

这些低功耗设计实现经验,你真的懂了吗?

Lockup latch 的用法,看这个就够了!

深度解析 Create_clock 与 Create_generated_clock 的区别

盘点数字后端设计实现用到的各种文件

clock jitter 是否对 hold time 有影响?(文末有福利)

为什么时钟树上要用 clock inverter(min pulse width check)

LVS 就是这么简单!(数字后端物理验证篇)

揭秘为何 net delay 是负值(数字后端实现时序篇)

PBA(Path Base Analysis)想说爱你不容易(静态时序分析基础篇)

一网打尽时钟树综合 Clock Skew

数字后端设计实现之时钟树综合实践篇

【惊呆了!】你居然还在用 flatten 方式进行 timing signoff

数字后端面试问答 No.16-18

合理的时钟结构能够加速 Timing 收敛(时钟树综合中级篇)

数字后端面试问答 No.13-15(每日三问)

【机密】从此没有难做的 floorplan(数字后端设计实现 floorplan 篇)

数字后端面试问答 No.10-12(每日三问)

数字后端面试问题 No.7-9(每日三问)

听说 Latch 可以高效修 hold 违例(Timing borrowing 及其应用)

15 天零基础入门到精通 python - 最全的视频教程

数字后端面试问答 No.4-6(每日三问)

IR Drop 分析之 Redhawk 分析流程

CRPR 能补偿 crosstalk 吗?

原来电路最高工作频率是这么算出来的(STA 基础篇)

数字后端面试问答 No.1-3(每日三问)

秒杀数字后端实现中 clock gating 使能端 setup violation 问题

教你轻松调 DCT 和 ICC 之间 Timing 与 Congestion 的一致性

数字芯片设计实现中修复 setup 违例的方法汇总

数字 IC 设计中 ECO 的那些事,其实并不是事!

Scan chain reordering 怎么用你知道吗?

如何评价数字后端设计中 floorplan 的好坏?

数字后端实现时 congestion 比较严重,你 hold 得住吗?

数字后端实现 place 过程进阶

Final netlist release 前,你应该做好哪些工作?

基于 Physical Aware 的动态功耗优化实现方案

深入浅出讲透 set_multicycle_path,从此彻底掌握它

【大师必备】最全的数字 IC 设计经典书籍电子版下载

你与数字后端大神的差距在这里,快来瞧瞧!

数字后端实现时 congestion 比较严重,你 hold 得住吗?

时钟树综合(clock tree synthesis)基础篇

【福利】数字 IC 后端各种 Userguide 下载

好了,今天的码字就到这里了,原创不容易,喜欢的可以帮忙转发和赞赏,你的转发和赞赏是我不断更新文章的动力。小编在此先谢过!与此同时,吾爱 IC 社区(52-)也正式上线了。吾爱 IC 社区(52-)是一个专业交流和分享数字 IC 设计与实现技术与经验的 IC 社区。如果大家在学习和工作中有碰到技术问题,欢迎在微信公众号给小编留言或者添加以下几种联系方式进行提问交流。

点击下方 “阅读全文” 查看更多干货

https://mp./s/hVlDCaPkGZ9tOgszO1Ks5A

本内容不代表本网观点和政治立场,如有侵犯你的权益请联系我们处理。
网友评论
网友评论仅供其表达个人看法,并不表明网站立场。